CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl spi

搜索资源列表

  1. SPI-PRT

    0下载:
  2. 昨天在论坛上看到有人帖出了他写的并串转换VHDL代码,但是他自己说有问题,但是不知道怎么改。我大概看了一下,发现思路还是比较乱的。于是就写下了我自己的并串转换代码。-yesterday at the forum see someone points out his writing and string conversion VHDL code, But he said there are problems, but does not know how reform. I probably wat
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:1013
    • 提供者:ZHAOBOO
  1. CUS_SPI-VHDL

    0下载:
  2. 此为VHDL的SPI通信代码,全部在一个压缩包中,请仔细阅读后再使用.-this as VHDL code SPI communication, all in a compressed package, please read carefully before use.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3875
    • 提供者:藏瑞
  1. spi

    0下载:
  2. VHDL实现SPI功能源代码 -- The SPI bus is a 3 wire bus that in effect links a serial shift -- register between the \"master\" and the \"slave\". Typically both the -- master and slave have an 8 bit shift register so the combined -- register is 16 bits
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:65393
    • 提供者:阿飞
  1. spi

    0下载:
  2. SPI总线,VHDL语言,硬件描述语言源码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3407
    • 提供者:郑文棋
  1. spi.tar

    0下载:
  2. SPI(serial port interface)的Verilog/VHDL源代碼,已模擬並驗證。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:117083
    • 提供者:hcjian
  1. spi

    0下载:
  2. 用vhdl编写的spi接口程序,在epm7128上仿真成功。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:916
    • 提供者:邓立新
  1. 11

    0下载:
  2. VHDL spi源代码内核介绍,可以看看很受用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:339942
    • 提供者:jiaojiao
  1. spi

    0下载:
  2. spi接口的vhdl实现,所用器件和ip为xilinx的
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:3112742
    • 提供者:杨子树
  1. spi

    0下载:
  2. 一篇比较好的spi接口的vhdl实现的参考
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:18947
    • 提供者:杨子树
  1. SPI接口控制器VHDL代码

    0下载:
  2. SPI接口实现的verilog代码
  3. 所属分类:其它程序

    • 发布日期:2011-05-31
    • 文件大小:2624403
    • 提供者:wszsf521
  1. SPI_AT45DB041B.rar

    0下载:
  2. 用verilog编写的SPI程序,SPI芯片是AT45DB041B.文件内包含程序仿真时的截图.包括read和wirte.,SPI prepared using Verilog procedures, SPI chip AT45DB041B. Document contains procedures for simulation screenshot. Including read and wirte.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:77898
    • 提供者:温海龙
  1. CAN.rar

    3下载:
  2. CAN总线驱动程序,包括SPI控制,MCP2515通信芯片控制,CAN协议解析,CAN bus driver, including the SPI control, MCP2515 communication chip control, CAN protocol analysis
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2015-12-04
    • 文件大小:7559
    • 提供者:梅锐
  1. SPIsend.rar

    0下载:
  2. Verilog HDL的程式,上網找到SPI程式, vspi.v這程式相當好用可用來接收與傳送SPI,並且寫了一個傳輸信號測試,spidatasent.v這程式就是傳送的資料,分別為00 66... 01 77...... 02 55這樣的資料,並透過MAX+PULS II軟體進行模擬,而最外層的程式是test_createspi.v!,Verilog HDL programs, Internet find SPI program, vspi.v this very useful progra
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:146157
    • 提供者:Rick
  1. sinc3filter.rar

    1下载:
  2. 实现sinc3 FILTER的VHDL源码,还有实现SPI通讯的。,Sinc3 FILTER to achieve the VHDL source code, as well as the realization of SPI communication.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:5194
    • 提供者:李天鸿
  1. shibo(ok).rar

    0下载:
  2. 基于cycloneII和MSP430单片机的示波器,利用spi模块进行双机通信,Based on the MSP430 MCU and cycloneII oscilloscope, using dual-spi communication module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3880112
    • 提供者:henry
  1. SPI_verilog_vhdl.rar

    0下载:
  2. SPI串口的内核实现(分别使用verilog和vhdl语言描述的),The core of the realization of SPI serial port (using Verilog and VHDL language descr iption of the)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:13539
    • 提供者:徐剑
  1. simpleSPI_M_S.rar

    0下载:
  2. SPI的VHDL程序,经过xilinx验证的,SPI of the VHDL program, after verification of xilinx
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:142745
    • 提供者: 陳皇仁
  1. EP2C-SOURCE_CODE

    1下载:
  2. 有關於EP2C的一些程序(EX:I2C,FLASH,IRDA,MUSIC,LED,LIGHT,SRAM,UART,PS2,SPI )-EP2C on some of the procedures (EX: I2C, FLASH, IRDA, MUSIC, LED, LIGHT, SRAM, UART, PS2, SPI)
  3. 所属分类:VHDL编程

    • 发布日期:2013-12-01
    • 文件大小:2994600
    • 提供者:鄧志明
  1. ad_test

    3下载:
  2. ad9777的测试程序,对SPI进行初始化,运用ISE环境,成功地进行综合和实现-ad9777 test procedures, the SPI is initialized, the use of ISE environment, the successful realization of comprehensive and
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-01
    • 文件大小:2665026
    • 提供者:关明明
  1. adc

    0下载:
  2. communication spi adc for spartan 3e
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:4295
    • 提供者:hung
« 1 2 3 4 56 7 8 9 10 11 12 »
搜珍网 www.dssz.com